`timescale 1 ns/10 ps module muj5test(); //name it as the file for simplicity localparam T=20; reg clk; reg reset; wire [7:0] leds; muj5_main muj5_inst(leds,clk,reset); always begin clk = 1'b1; #(T/2); clk = 1'b0; #(T/2); end initial begin reset = 1'b1; #(T/2); reset = 1'b0; end endmodule